Follow
Sudhakar Pamarti
Sudhakar Pamarti
Professor of Electrical and Computer Engineering, UCLA
Verified email at ee.ucla.edu
Title
Cited by
Cited by
Year
A wideband 2.4-GHz delta-sigma fractional-NPLL with 1-Mb/s in-loop modulation
S Pamarti, L Jansson, I Galton
IEEE Journal of Solid-State Circuits 39 (1), 49-62, 2004
3382004
Replica compensated linear regulators for supply-regulated phase-locked loops
E Alon, J Kim, S Pamarti, K Chang, M Horowitz
IEEE Journal of Solid-State Circuits 41 (2), 413-424, 2006
1862006
CDMA-based crosstalk cancellation for on-chip global high-speed links
TC Hsueh, S Pamarti
US Patent 8,773,964, 2014
1352014
LSB dithering in MASH delta–sigma D/A converters
S Pamarti, I Galton
IEEE Transactions on Circuits and Systems I: Regular Papers 54 (4), 779-790, 2007
1212007
A 3 ppm 1.5× 0.8 mm 2 1.0 ľA 32.768 kHz MEMS-Based Oscillator
S Zaliasl, JC Salvia, GC Hill, L Chen, K Joo, R Palwai, N Arumugam, ...
IEEE Journal of Solid-State Circuits 50 (1), 291-302, 2014
1162014
A Temperature-to-Digital Converter for a MEMS-Based Programmable Oscillator With Frequency Stability and Integrated Jitter
MH Perrott, JC Salvia, FS Lee, A Partridge, S Mukherjee, C Arft, J Kim, ...
IEEE Journal of Solid-State Circuits 48 (1), 276-291, 2013
1142013
Statistics of the Quantization Noise in 1-Bit Dithered Single-Quantizer Digital Delta-Sigma Modulators
S Pamarti, J Welz, I Galton
IEEE transactions on circuits and systems Part I: Fundamental theory and …, 2007
972007
Approximate bit-loading for data transmission over frequency-selective channels
S Pamarti
US Patent 7,599,390, 2009
882009
Clocking and circuit design for a parallel I/O on a first-generation CELL processor
K Chang, S Pamarti, K Kaviani, E Alon, X Shi, TJ Chin, J Shen, G Yip, ...
ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State …, 2005
812005
Fractional-N Phase-Locked-Loop-Based Frequency Synthesis: A Tutorial
PE Su, S Pamarti
IEEE transactions on circuits and systems. II, Express briefs 20 (12), 881, 2009
702009
Linearization through dithering: A 50 MHz bandwidth, 10-b ENOB, 8.2 mW VCO-based ADC
A Ghosh, S Pamarti
IEEE Journal of Solid-State Circuits 50 (9), 2012-2024, 2015
592015
A MEMS-Assisted Temperature Sensor With 20- Resolution, Conversion Rate of 200 S/s, and FOM of 0.04 pJK2
MH Roshan, S Zaliasl, K Joo, K Souri, R Palwai, LW Chen, A Singh, ...
IEEE Journal of Solid-State Circuits 52 (1), 185-197, 2016
572016
Latency, bandwidth and power benefits of the superchips integration scheme
SC Jangam, S Pal, A Bajwa, S Pamarti, P Gupta, SS Iyer
2017 IEEE 67th Electronic Components and Technology Conference (ECTC), 86-94, 2017
532017
A compact 60-GHz wireless power transfer system
M Nariman, F Shirinfar, AP Toda, S Pamarti, A Rofougaran, F De Flaviis
IEEE Transactions on Microwave Theory and Techniques 64 (8), 2664-2677, 2016
502016
A fully integrated 22.6 dBm mm-Wave PA in 40nm CMOS
F Shirinfar, M Nariman, T Sowlati, M Rofougaran, R Rofougaran, ...
2013 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 279-282, 2013
442013
Frequency-Domain Analysis of-Path Filters Using Conversion Matrices
S Hameed, M Rachid, B Daneshrad, S Pamarti
IEEE Transactions on Circuits and Systems II: Express Briefs 63 (1), 74-78, 2015
412015
A 34V charge pump in 65nm bulk CMOS technology
Y Ismail, H Lee, S Pamarti, CKK Yang
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
412014
A Quick Startup Technique for High- Oscillators Using Precisely Timed Energy Injection
H Esmaeelzadeh, S Pamarti
IEEE Journal of Solid-State Circuits 53 (3), 692-702, 2017
402017
Transmitter with skew reduction
E Alon, S Pamarti
US Patent 7,650,526, 2010
402010
A 2.4 GHz wideband open-loop GFSK transmitter with phase quantization noise cancellation
PE Su, S Pamarti
IEEE journal of solid-state circuits 46 (3), 615-626, 2011
372011
The system can't perform the operation now. Try again later.
Articles 1–20