Follow
Tony Nowatzki
Tony Nowatzki
Verified email at cs.ucla.edu - Homepage
Title
Cited by
Cited by
Year
Dyser: Unifying functionality and parallelism specialization for energy-efficient computing
V Govindaraju, CH Ho, T Nowatzki, J Chhugani, N Satish, ...
IEEE Micro 32 (5), 38-51, 2012
2942012
Stream-dataflow acceleration
T Nowatzki, V Gangadhar, N Ardalani, K Sankaralingam
Proceedings of the 44th Annual International Symposium on Computer …, 2017
1902017
A general constraint-centric scheduling framework for spatial architectures
T Nowatzki, M Sartin-Tarm, L De Carli, K Sankaralingam, C Estan, ...
ACM SIGPLAN Notices 48 (6), 495-506, 2013
1102013
Dsagen: Synthesizing programmable spatial accelerators
J Weng, S Liu, V Dadu, Z Wang, P Shah, T Nowatzki
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
109*2020
Exploring the potential of heterogeneous von neumann/dataflow execution models
T Nowatzki, V Gangadhar, K Sankaralingam
Proceedings of the 42nd Annual International Symposium on Computer …, 2015
842015
Towards general purpose acceleration by exploiting common data-dependence forms
V Dadu, J Weng, S Liu, T Nowatzki
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
772019
Pushing the limits of accelerator efficiency while retaining programmability
T Nowatzki, V Gangadhan, K Sankaralingam, G Wright
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
722016
Design, integration and implementation of the DySER hardware accelerator into OpenSPARC
J Benson, R Cofell, C Frericks, CH Ho, V Govindaraju, T Nowatzki, ...
IEEE International Symposium on High-Performance Comp Architecture, 1-12, 2012
702012
Hardware acceleration of sparse and irregular tensor computations of ml models: A survey and insights
S Dave, R Baghdadi, T Nowatzki, S Avancha, A Shrivastava, B Li
Proceedings of the IEEE 109 (10), 1706-1752, 2021
692021
A hybrid systolic-dataflow architecture for inductive matrix algorithms
J Weng, S Liu, Z Wang, V Dadu, T Nowatzki
2020 IEEE International Symposium on High Performance Computer Architecture …, 2020
612020
PolyGraph: Exposing the Value of Flexibility for Graph Processing Accelerators
V Dadu, S Liu, T Nowatzki
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
572021
Hybrid optimization/heuristic instruction scheduling for programmable accelerator codesign
T Nowatzki, N Ardalani, K Sankaralingam, J Weng
Proceedings of the 27th International Conference on Parallel Architectures …, 2018
512018
Architectural simulators considered harmful
T Nowatzki, J Menon, CH Ho, K Sankaralingam
IEEE Micro 35 (6), 4-12, 2015
512015
Stream-based memory access specialization for general purpose processors
Z Wang, T Nowatzki
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
442019
Optimization and mathematical modeling in computer architecture
T Nowatzki, MC Ferris, K Sankaralingam, C Estan, N Vaish, DA Wood
Morgan & Claypool, 2014
422014
Breaking SIMD shackles with an exposed flexible microarchitecture and the access execute PDG
V Govindaraju, T Nowatzki, K Sankaralingam
Proceedings of the 22nd international conference on Parallel architectures …, 2013
42*2013
Computer with hybrid Von-Neumann/dataflow execution architecture
A Nowatzki, V Gangadhar, K Sankaralingam
US Patent 10,216,693, 2019
392019
μir-an intermediate representation for transforming and optimizing the microarchitecture of application accelerators
A Sharifian, R Hojabr, N Rahimi, S Liu, A Guha, T Nowatzki, A Shriraman
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
372019
Analyzing behavior specialized acceleration
T Nowatzki, K Sankaralingam
ACM SIGPLAN Notices 51 (4), 697-711, 2016
36*2016
Kickstarting semiconductor innovation with open source hardware
G Gupta, T Nowatzki, V Gangadhar, K Sankaralingam
Computer 50 (6), 50-59, 2017
34*2017
The system can't perform the operation now. Try again later.
Articles 1–20