Follow
Cong Xu
Cong Xu
Research Scientist, Hewlett Packard Labs
Verified email at hpe.com
Title
Cited by
Cited by
Year
Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory
P Chi, S Li, C Xu, T Zhang, J Zhao, Y Liu, Y Wang, Y Xie
ACM SIGARCH Computer Architecture News 44 (3), 27-39, 2016
16622016
Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory
X Dong, C Xu, Y Xie, NP Jouppi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
13082012
Terngrad: Ternary gradients to reduce communication in distributed deep learning
W Wen, C Xu, F Yan, C Wu, Y Wang, Y Chen, H Li
Advances in neural information processing systems 30, 2017
10342017
Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories
S Li, C Xu, Q Zou, J Zhao, Y Lu, Y Xie
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
4812016
Overcoming the challenges of crossbar resistive memory architectures
C Xu, D Niu, N Muralimanohar, R Balasubramonian, T Zhang, S Yu, Y Xie
2015 IEEE 21st international symposium on high performance computer …, 2015
3952015
Cache revive: Architecting volatile STT-RAM caches for enhanced performance in CMPs
A Jog, AK Mishra, C Xu, Y Xie, V Narayanan, R Iyer, CR Das
Proceedings of the 49th Annual Design Automation Conference, 243-252, 2012
3482012
Design implications of memristor-based RRAM cross-point structures
C Xu, X Dong, NP Jouppi, Y Xie
2011 Design, Automation & Test in Europe, 1-6, 2011
3122011
Coordinating filters for faster deep neural networks
W Wen, C Xu, C Wu, Y Wang, Y Chen, H Li
Proceedings of the IEEE international conference on computer vision, 658-666, 2017
1752017
Adaptive placement and migration policy for an STT-RAM-based hybrid cache
Z Wang, DA Jiménez, C Xu, G Sun, Y Xie
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
1632014
Impact of process variations on emerging memristor
D Niu, Y Chen, C Xu, Y Xie
Proceedings of the 47th Design Automation Conference, 877-882, 2010
1632010
Understanding the trade-offs in multi-level cell ReRAM memory design
C Xu, D Niu, N Muralimanohar, NP Jouppi, Y Xie
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
1572013
Half-DRAM: A high-bandwidth and low-power DRAM architecture from the rethinking of fine-grained activation
T Zhang, K Chen, C Xu, G Sun, T Wang, Y Xie
ACM SIGARCH Computer Architecture News 42 (3), 349-360, 2014
1522014
Design trade-offs for high density cross-point resistive memory
D Niu, C Xu, N Muralimanohar, NP Jouppi, Y Xie
Proceedings of the 2012 ACM/IEEE international symposium on Low power …, 2012
1062012
Design of cross-point metal-oxide ReRAM emphasizing reliability and cost
D Niu, C Xu, N Muralimanohar, NP Jouppi, Y Xie
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 17-23, 2013
592013
CREAM: A concurrent-refresh-aware DRAM memory architecture
T Zhang, M Poremba, C Xu, G Sun, Y Xie
2014 IEEE 20th International Symposium on High Performance Computer …, 2014
542014
Device-architecture co-optimization of STT-RAM based memory for low power embedded systems
C Xu, D Niu, X Zhu, SH Kang, M Nowak, Y Xie
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 463-470, 2011
492011
Low power multi-level-cell resistive memory design with incomplete data mapping
D Niu, Q Zou, C Xu, Y Xie
2013 IEEE 31st International Conference on Computer Design (ICCD), 131-137, 2013
482013
Mixed precision quantization for reram-based dnn inference accelerators
S Huang, A Ankit, P Silveira, R Antunes, SR Chalamalasetti, I El Hajj, ...
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
422021
Smoothout: Smoothing out sharp minima to improve generalization in deep learning
W Wen, Y Wang, F Yan, C Xu, C Wu, Y Chen, H Li
arXiv preprint arXiv:1805.07898, 2018
402018
Nvsim-cam: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory
S Li, L Liu, P Gu, C Xu, Y Xie
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2016
392016
The system can't perform the operation now. Try again later.
Articles 1–20