Follow
Jean-Philippe Diguet
Jean-Philippe Diguet
Verified email at cnrs.fr - Homepage
Title
Cited by
Cited by
Year
A co-design approach for embedded system modeling and code generation with UML and MARTE
J Vidal, F De Lamotte, G Gogniat, P Soulard, JP Diguet
2009 Design, Automation & Test in Europe Conference & Exhibition, 226-231, 2009
2052009
Formalized methodology for data reuse: exploration for low-power hierarchical memory mappings
S Wuytack, JP Diguet, FVM Catthoor, HJ De Man
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 6 (4), 529-537, 1998
1741998
NOC-centric security of reconfigurable SoC
JP Diguet, S Evain, R Vaslin, G Gogniat, E Juin
First International Symposium on Networks-on-Chip (NOCS'07), 223-232, 2007
1472007
Reconfigurable hardware for high-security/high-performance embedded systems: The SAFES perspective
G Gogniat, T Wolf, W Burleson, JP Diguet, L Bossuet, R Vaslin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 (2), 144-155, 2008
1112008
From NoC security analysis to design solutions
S Evain, JP Diguet
IEEE Workshop on Signal Processing Systems Design and Implementation, 2005 …, 2005
812005
Router and routing network
JP Diguet, S Evain
US Patent App. 12/091,830, 2009
782009
NoC-based protection for SoC time-driven attacks
MJ Sepulveda, JP Diguet, M Strum, G Gogniat
IEEE Embedded Systems Letters 7 (1), 7-10, 2014
772014
Formalized methodology for data reuse exploration in hierarchical memory mappings
JP Diguet, S Wuytack, F Catthoor, H De Man
Proceedings of the 1997 international symposium on Low power electronics and …, 1997
691997
Closed-loop--based self-adaptive Hardware/Software-Embedded systems: Design methodology and smart cam case study
JP Diguet, Y Eustache, G Gogniat
ACM Transactions on Embedded Computing Systems (TECS) 10 (3), 1-28, 2011
452011
Refining power consumption estimations in the component based AADL design flow
E Senn, J Laurent, E Juin, JP Diguet
2008 Forum on Specification, Verification and Design Languages, 173-178, 2008
452008
UML design for dynamically reconfigurable multiprocessor embedded systems
J Vidal, F De Lamotte, G Gogniat, JP Diguet, P Soulard
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010 …, 2010
442010
Key research issues for reconfigurable network-on-chip
R Dafali, JP Diguet, M Sevaux
2008 International Conference on Reconfigurable Computing and FPGAs, 181-186, 2008
402008
Cognitive Radio for UAV communications: Opportunities and future challenges
GMD Santana, RS Cristo, C Dezan, JP Diguet, DPM Osorio, KR Branco
2018 International Conference on Unmanned Aircraft Systems (ICUAS), 760-768, 2018
392018
Elastic security zones for NoC-based 3D-MPSoCs
J Sepúlveda, G Gogniat, D Florez, JP Diguet, C Zeferino, M Strum
2014 21st IEEE International Conference on Electronics, Circuits and Systems …, 2014
382014
Ultra-fast downloading of partial bitstreams through ethernet
P Bomel, J Crenne, L Ye, JP Diguet, G Gogniat
Architecture of Computing Systems–ARCS 2009: 22nd International Conference …, 2009
382009
FPGA implementation of Bayesian network inference for an embedded diagnosis
S Zermani, C Dezan, H Chenini, JP Diguet, R Euler
2015 IEEE Conference on Prognostics and Health Management (PHM), 1-10, 2015
372015
μ spider: a CAD tool for efficient NoC design
S Evain, JP Diguet, D Houzet
Proceedings Norchip Conference, 2004., 218-221, 2004
37*2004
Probabilistic resource estimation for pipeline architecture
JP Diguet, O Sentieys, JL Philippe, E Martin
VLSI Signal Processing, VIII, 217-226, 1995
351995
Configurable memory security in embedded systems
J Crenne, R Vaslin, G Gogniat, JP Diguet, R Tessier, D Unnikrishnan
ACM Transactions on Embedded Computing Systems (TECS) 12 (3), 1-23, 2013
312013
Multi-granularity metrics for the era of strongly personalized SOCs
Y Le Moullec, NB Amor, JP Diguet, M Abid, JL Philippe
2003 Design, Automation and Test in Europe Conference and Exhibition, 674-679, 2003
312003
The system can't perform the operation now. Try again later.
Articles 1–20