Follow
Jonghyun Cho
Jonghyun Cho
Rambus Inc.
Verified email at rambus.com
Title
Cited by
Cited by
Year
High-frequency scalable electrical model and analysis of a through silicon via (TSV)
J Kim, JS Pak, J Cho, E Song, J Cho, H Kim, T Song, J Lee, H Lee, K Park, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (2 …, 2011
4902011
Modeling and analysis of through-silicon via (TSV) noise coupling and suppression using a guard ring
J Cho, E Song, K Yoon, JS Pak, J Kim, W Lee, T Song, K Kim, J Lee, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (2 …, 2011
1872011
PDN impedance modeling and analysis of 3D TSV IC by using proposed P/G TSV array model based on separated P/G TSV and chip-PDN models
JS Pak, J Kim, J Cho, K Kim, T Song, S Ahn, J Lee, H Lee, K Park, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 1 (2 …, 2011
1522011
Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC
C Liu, T Song, J Cho, J Kim, J Kim, SK Lim
Proceedings of the 48th Design Automation Conference, 783-788, 2011
1212011
Suppression of leakage magnetic field from a wireless power transfer system using ferrimagnetic material and metallic shielding
H Kim, J Cho, S Ahn, J Kim, J Kim
2012 IEEE International Symposium on Electromagnetic Compatibility, 640-645, 2012
862012
High-frequency scalable modeling and analysis of a differential signal through-silicon via
J Kim, J Cho, J Kim, JM Yook, JC Kim, J Lee, K Park, JS Pak
IEEE Transactions on Components, Packaging and Manufacturing Technology 4 (4 …, 2014
722014
Measurement and analysis of a high-speed TSV channel
H Kim, J Cho, M Kim, K Kim, J Lee, H Lee, K Park, K Choi, HC Bae, J Kim, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 2 …, 2012
682012
Active circuit to through silicon via (TSV) noise coupling
J Cho, J Shim, E Song, JS Pak, J Lee, H Lee, K Park, J Kim
2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging …, 2009
672009
Slow wave and dielectric quasi-TEM modes of metal-insulator-semiconductor (MIS) structure through silicon via (TSV) in signal propagation and power delivery in 3D chip package
JS Pak, J Cho, J Kim, J Lee, H Lee, K Park, J Kim
2010 Proceedings 60th Electronic Components and Technology Conference (ECTC …, 2010
612010
Modeling and analysis of a power distribution network in TSV-based 3-D memory IC including P/G TSVs, on-chip decoupling capacitors, and silicon substrate effects
K Kim, C Hwang, K Koo, J Cho, H Kim, J Kim, J Lee, HD Lee, KW Park, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 2 …, 2012
552012
TSV modeling and noise coupling in 3D IC
J Kim, J Cho, J Kim
3rd Electronics System Integration Technology Conference ESTC, 1-6, 2010
462010
Analysis of TSV-to-TSV coupling with high-impedance termination in 3D ICs
T Song, C Liu, DH Kim, SK Lim, J Cho, J Kim, JS Pak, S Ahn, J Kim, ...
2011 12th International Symposium on Quality Electronic Design, 1-7, 2011
382011
Signal and power integrity analysis in 2.5 D integrated circuits (ICs) with glass, silicon and organic interposer
Y Kim, J Cho, K Kim, V Sundaram, R Tummala, J Kim
2015 IEEE 65th Electronic Components and Technology Conference (ECTC), 738-743, 2015
322015
Modeling and measurement of power supply noise effects on an analog-to-digital converter based on a chip-PCB hierarchical power distribution network analysis
B Bae, Y Shim, K Koo, J Cho, JS Pak, J Kim
IEEE transactions on electromagnetic compatibility 55 (6), 1260-1270, 2013
312013
Inductance extraction for PCB prelayout power integrity using PMSR method
YS Cao, T Makharashvili, J Cho, S Bai, S Connor, B Archambeault, ...
IEEE Transactions on Electromagnetic Compatibility 59 (4), 1339-1346, 2017
292017
Guard ring effect for through silicon via (TSV) noise coupling reduction
J Cho, K Yoon, JS Pak, J Kim, J Lee, H Lee, K Park, J Kim
2010 IEEE CPMT Symposium Japan, 1-4, 2010
292010
Coil design for 100 KHz and 6.78 MHz WPT system: Litz and solid wires and winding methods
J Cho, J Sun, H Kim, J Fan, Y Lu, S Pan
2017 IEEE International Symposium on Electromagnetic Compatibility & Signal …, 2017
252017
Through silicon via (TSV) shielding structures
J Cho, J Kim, T Song, JS Pak, J Kim, H Lee, J Lee, K Park
19th Topical Meeting on Electrical Performance of Electronic Packaging and …, 2010
242010
A wideband on-interposer passive equalizer design for chip-to-chip 30-Gb/s serial data transmission
H Kim, J Cho, J Kim, S Choi, K Kim, J Lee, K Park, JS Pak, J Kim
IEEE Transactions on Components, Packaging and Manufacturing Technology 5 (1 …, 2014
232014
Power distribution network design and optimization based on frequency dependent target impedance
Y Kim, K Kim, J Cho, J Kim, K Kang, T Yang, Y Ra, W Paik
2015 IEEE Electrical Design of Advanced Packaging and Systems Symposium …, 2015
222015
The system can't perform the operation now. Try again later.
Articles 1–20