Follow
Luan H.K. Duong
Luan H.K. Duong
Senior Research Scientist (Postdoctoral Researcher)
Verified email at zetamotion.com
Title
Cited by
Cited by
Year
Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison
M Nikdast, J Xu, LHK Duong, X Wu, X Wang, Z Wang, Z Wang, P Yang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (11 …, 2014
912014
System-level modeling and analysis of thermal effects in WDM-based optical networks-on-chip
Y Ye, Z Wang, P Yang, J Xu, X Wu, X Wang, M Nikdast, Z Wang, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
542014
JADE: A heterogeneous multiprocessor system simulation platform using recorded and statistical application models
RKV Maeda, P Yang, X Wu, Z Wang, J Xu, Z Wang, H Li, LHK Duong, ...
Proceedings of the 1st International Workshop on Advanced Interconnect …, 2016
522016
Fault-tolerant routing mechanism in 3D optical network-on-chip based on node reuse
P Guo, W Hou, L Guo, W Sun, C Liu, H Bao, LHK Duong, W Liu
IEEE Transactions on Parallel and Distributed Systems 31 (3), 547-564, 2019
502019
Fat-tree-based optical interconnection networks under crosstalk noise constraint
M Nikdast, J Xu, LHK Duong, X Wu, Z Wang, X Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (1), 156-169, 2014
492014
Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system
Z Wang, Z Tian, J Xu, RKV Maeda, H Li, P Yang, Z Wang, LHK Duong, ...
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 684-689, 2017
412017
A case study of signal-to-noise ratio in ring-based optical networks-on-chip
LHK Duong, M Nikdast, S Le Beux, J Xu, X Wu, Z Wang, P Yang
IEEE Design & Test 31 (5), 55-65, 2014
412014
An analytical study of power delivery systems for many-core processors using on-chip and off-chip voltage regulators
X Wang, J Xu, Z Wang, KJ Chen, X Wu, Z Wang, P Yang, LHK Duong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
332015
Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks
LHK Duong, Z Wang, M Nikdast, J Xu, P Yang, Z Wang, Z Wang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
302016
High-radix nonblocking integrated optical switching fabric for data center
Z Wang, J Xu, P Yang, Z Wang, LHK Duong, X Chen
Journal of Lightwave Technology 35 (19), 4268-4281, 2017
242017
Low-loss high-radix integrated optical switch networks for software-defined servers
Z Wang, Z Wang, J Xu, P Yang, LHK Duong, Z Wang, H Li, RKV Maeda
Journal of Lightwave Technology 34 (18), 4364-4375, 2016
222016
Coherent crosstalk noise analyses in ring-based optical interconnects
LHK Duong, M Nikdast, J Xu, Z Wang, Y Thonnart, S Le Beux, P Yang, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 501-506, 2015
222015
A holistic modeling and analysis of optical–electrical interfaces for inter/intra-chip interconnects
Z Wang, J Xu, P Yang, LHK Duong, Z Wang, X Wang, Z Wang, H Li, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
202016
Xor-net: an efficient computation pipeline for binary neural network inference on edge devices
S Zhu, LHK Duong, W Liu
2020 IEEE 26th international conference on parallel and distributed systems …, 2020
192020
Improve chip pin performance using optical interconnects
Z Wang, J Xu, P Yang, X Wang, Z Wang, LHK Duong, Z Wang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (4 …, 2015
192015
Inter/intra-chip optical interconnection network: Opportunities, challenges, and implementations
P Yang, S Nakamura, K Yashiki, Z Wang, LHK Duong, Z Wang, X Chen, ...
2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), 1-8, 2016
152016
ArSMART: An improved SMART NoC design supporting arbitrary-turn transmission
H Chen, P Chen, J Zhou, LHK Duong, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
142021
Energy-efficient power delivery system paradigms for many-core processors
H Li, X Wang, J Xu, Z Wang, RKV Maeda, Z Wang, P Yang, LHK Duong, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
142016
Contention-aware routing for thermal-reliable optical networks-on-chip
M Li, W Liu, LHK Duong, P Chen, L Yang, C Xiao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
132020
Crosstalk noise reduction through adaptive power control in inter/intra-chip optical networks
LHK Duong, P Yang, Z Wang, YS Chang, J Xu, Z Wang, X Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
132018
The system can't perform the operation now. Try again later.
Articles 1–20