Follow
changhui hu
changhui hu
Oregon State university, Marvell
Verified email at marvell.com
Title
Cited by
Cited by
Year
A near-threshold, 0.16 nJ/b OOK-transmitter with 0.18 nJ/b noise-cancelling super-regenerative receiver for the medical implant communications service
C Ma, C Hu, J Cheng, L Xia, PY Chiang
IEEE transactions on biomedical circuits and systems 7 (6), 841-850, 2013
772013
A 90 nm-CMOS, 500 Mbps, 3–5 GHz fully-integrated IR-UWB transceiver with multipath equalization using pulse injection-locking for receiver phase synchronization
C Hu, R Khanna, J Nejedlo, K Hu, H Liu, PY Chiang
IEEE Journal of Solid-State Circuits 46 (5), 1076-1088, 2011
642011
Short-range, wireless interconnect within a computing chassis: Design challenges
P Chiang, S Woracheewan, C Hu, L Guo, R Khanna, J Nejedlo, H Liu
Design & Test of Computers, IEEE 27 (4), 32-43, 2010
492010
20.5 A 40nm dual-band 3-stream 802.11 a/b/g/n/ac MIMO WLAN SoC with 1.1 Gb/s over-the-air throughput
M He, R Winoto, X Gao, W Loeb, D Signoff, W Lau, Y Lu, D Cui, KS Lee, ...
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
452014
A 90nm-CMOS, 500Mbps, fully-integrated IR-UWB transceiver using pulse injection-locking for receiver phase synchronization
C Hu, PY Chiang, K Hu, H Liu, R Khanna, J Nejedlo
2010 IEEE Radio Frequency Integrated Circuits Symposium, 201-204, 2010
182010
Chaotic pulse-position baseband modulation for an ultra-wideband transceiver in CMOS
P Chiang, C Hu
IEEE Transactions on Circuits and Systems II: Express Briefs 57 (8), 642-646, 2010
172010
Transmitter equalization for multipath interference cancellation in impulse radio ultra-wideband (IR-UWB) transceivers
C Hu, S Redfield, H Liu, R Khanna, J Nejedlo, P Chiang
2009 International Symposium on VLSI Design, Automation and Test, 307-310, 2009
132009
A 100MHz—2GHz wireless receiver in 40-nm CMOS for software-defined radio
Y Peng, Y Liu, F Yang, XL Zhang, XP Yu, ZH Lu, WM Lim, CH Hu
2011 IEEE International Conference of Electron Devices and Solid-State …, 2011
102011
A novel low complexity soft-decision demapper for QPSK 8PSK demodulation of DVB-S2 systems
J Su, Z Lu, X Yu, C Hu
2011 IEEE International Conference of Electron Devices and Solid-State …, 2011
82011
All-digital 3-50 GHz ultra-wideband pulse generator for short-range wireless interconnect in 40nm CMOS
C Hu, PY Chiang
2011 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2011
72011
Design of nano-scale noise tolerant cmos logic circuits based on probabilistic markov random field approach
ZH Lu, XP Yu, Y Liu, JN Su, CH Hu
Nanoscience and Nanotechnology Letters 4 (9), 914-918, 2012
62012
Design challenges for short-range, wireless interconnect within a computing chassis
P Chiang
IEEE Design Test Comput. 27 (4), 32-43, 2010
52010
Considerations and optimization of measurement accuracy of capacitance in nano-scale CMOS technology
SH Cao, XP Yu, Y Pan, Z Shi, CH Hu
Nanoscience and Nanotechnology Letters 4 (9), 924-929, 2012
42012
Measurement and characterization of ultra-wideband wireless interconnects within active computing systems
S Woracheewan, C Hu, R Khanna, J Nejedlo, H Liu, P Chiang
Proceedings of 2011 International Symposium on VLSI Design, Automation and …, 2011
42011
Preliminary study of indoor ultrawideband localization for at-home patient monitoring
S Baek, R Ye, C Hu, S Redfield, H Liu, T Hayes, P Chiang
Individualized Healthcare, AMA-IEEE Medical Technology Conference on, 2010
42010
Circuits design for contactless testing of nano-scale CMOS devices and circuits
XP Yu, ZH Lu, WM Lim, Y Liu, CH Hu
Nanoscience and Nanotechnology Letters 4 (9), 930-935, 2012
32012
A novel accurate dB-linear control circuit topology for variable gain amplifiers in BiCMOS technology
Z Lu, CH Hu, XP Yu, WM Lim, Y Liu, KS Yeo
2011 International Symposium on Integrated Circuits, 432-435, 2011
32011
Wireless Interconnects for Future Computing Systems
L Xia, C Hu, S Redfield, S Woracheewan, R Khanna, J Nejedlo, H Liu
Intel Technology Journal 16 (2), 134-155, 2012
22012
Self-demodulated receiver at MM-wave range using SiGe technology
XP Yu, BY Hu, XL Yan, ZH Lu, WM Lim, Y Liu, KS Yeo, CH Hu
2011 International Symposium on Integrated Circuits, 440-443, 2011
22011
A 6-GHz dual-modulus prescaler using 180nm SiGe technology
CZ Nan, XP Yu, BY Hu, ZH Lu, WM Lim, Y Liu, KS Yeo, CH Hu
2011 International Symposium on Integrated Circuits, 436-439, 2011
22011
The system can't perform the operation now. Try again later.
Articles 1–20