Follow
Xiaoxuan Yang
Title
Cited by
Cited by
Year
ReTransformer: ReRAM-based processing-in-memory architecture for transformer acceleration
X Yang, B Yan, H Li, Y Chen
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
712020
Research Progress on Memristor: From Synapses to Computing Systems
X Yang, B Taylor, A Wu, Y Chen, LO Chua
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (5), 1845-1857, 2022
662022
Harnessing optoelectronic noises in a photonic generative network
C Wu, X Yang, H Yu, R Peng, I Takeuchi, Y Chen, M Li
Science advances 8 (3), eabm2956, 2022
342022
Multi-objective optimization of ReRAM crossbars for robust DNN inferencing under stochastic noise
X Yang, S Belakaria, BK Joardar, H Yang, JR Doppa, PP Pande, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
29*2021
Tolerating Noise Effects in Processing‐in‐Memory Systems for Neural Networks: A Hardware–Software Codesign Perspective
X Yang, C Wu, M Li, Y Chen
Advanced Intelligent Systems 4 (8), 2200029, 2022
172022
Approximate Computing and the Efficient Machine Learning Expedition
J Henkel, H Li, A Raghunathan, MB Tahoori, S Venkataramani, X Yang, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
82022
Hero: Hessian-enhanced robust optimization for unifying and improving generalization and quantization performance
H Yang, X Yang, NZ Gong, Y Chen
Proceedings of the 59th ACM/IEEE Design Automation Conference, 25-30, 2022
82022
Photonic Bayesian Neural Network Using Programmed Optical Noises
C Wu, X Yang, Y Chen, M Li
IEEE Journal of Selected Topics in Quantum Electronics 29 (2: Optical …, 2022
72022
ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-aware ReRAM-based In-Memory Training Systems
X Yang, H Yang, JR Doppa, PP Pande, K Chakrabarty, H Li
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022
52022
Biologically plausible learning on neuromorphic hardware architectures
C Wolters, B Taylor, E Hanson, X Yang, U Schlichtmann, Y Chen
2023 IEEE 66th International Midwest Symposium on Circuits and Systems …, 2023
22023
Improving the Robustness and Efficiency of PIM-Based Architecture by SW/HW Co-Design
X Yang, S Li, Q Zheng, Y Chen
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
22023
Optical generative adversarial network based on programmable phase-change photonics
C Wu, X Yang, H Yu, I Takeuchi, Y Chen, M Li
2021 Conference on Lasers and Electro-Optics (CLEO), 1-2, 2021
22021
Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design
X Yang, Z Wang, XS Hu, CH Kim, S Yu, M Pajic, R Manohar, Y Chen, ...
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023
12023
On Building Efficient and Robust Neural Network Designs
X Yang, H Yang, J Zhang, HH Li, Y Chen
2022 56th Asilomar Conference on Signals, Systems, and Computers, 317-321, 2022
12022
Block-Wise Mixed-Precision Quantization: Enabling High Efficiency for Practical ReRAM-based DNN Accelerators
X Wu, E Hanson, N Wang, Q Zheng, X Yang, H Yang, S Li, F Cheng, ...
arXiv preprint arXiv:2310.12182, 2023
2023
Improving the Efficiency and Robustness of In-Memory Computing in Emerging Technologies
X Yang
Duke University, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–16