Follow
Nagendra Prasad Yerriboina
Nagendra Prasad Yerriboina
Director of R&D, Advanced NanoSurface Technologies, Shenzhen
Verified email at anstinc.com
Title
Cited by
Cited by
Year
Chemical mechanical planarization of copper in alkaline slurry with uric acid as inhibitor
YN Prasad, S Ramanathan
Electrochimica Acta 52 (22), 6353-6358, 2007
902007
Characterization of TMAH based cleaning solution for post Cu-CMP application
RP Venkatesh, TY Kwon, YN Prasad, S Ramanathan, JG Park
Microelectronic engineering 102, 74-80, 2013
642013
Selection and optimization of corrosion inhibitors for improved Cu CMP and post-Cu CMP cleaning
HY Ryu, BJ Cho, NP Yerriboina, CH Lee, JK Hwang, S Hamada, Y Wada, ...
ECS Journal of Solid State Science and Technology 8 (5), P3058, 2019
442019
Generation of pad debris during oxide CMP process and its role in scratch formation
YN Prasad, TY Kwon, IK Kim, IG Kim, JG Park
Journal of the Electrochemical Society 158 (4), H394, 2011
412011
Analysis of scratches formed on oxide surface during chemical mechanical planarization
JG Choi, YN Prasad, IK Kim, IG Kim, WJ Kim, AA Busnaina, JG Park
Journal of The Electrochemical Society 157 (2), H186, 2009
342009
Investigation of the effect of different cleaning forces on Ce-O-Si bonding during oxide post-CMP cleaning
S Sahir, NP Yerriboina, SY Han, KM Han, TG Kim, N Mahadev, JG Park
Applied Surface Science 545, 149035, 2021
332021
A breakthrough method for the effective conditioning of PVA brush used for post-CMP process
JH Lee, HY Ryu, JK Hwang, NP Yerriboina, TG Kim, S Hamada, Y Wada, ...
ECS Journal of Solid State Science and Technology 8 (6), P307, 2019
282019
Role of amino-acid adsorption on silica and silicon nitride surfaces during STI CMP
YN Prasad, S Ramanathan
Electrochemical and solid-state letters 9 (12), G337, 2006
272006
Contamination mechanism of ceria particles on the oxide surface after the CMP process
KM Han, SY Han, S Sahir, NP Yerriboina, TG Kim, N Mahadev, JG Park
ECS Journal of Solid State Science and Technology 9 (12), 124004, 2020
252020
Synthesis of Fe metal precipitated colloidal silica and its application to W chemical mechanical polishing (CMP) slurry
YJ Kang, YN Prasad, IK Kim, SJ Jung, JG Park
Journal of colloid and interface science 349 (1), 402-407, 2010
222010
The adhesion and removal mechanism of ceria particles for STI post-CMP cleaning process
NP Yerriboina, S Sahir, SY Han, KM Han, JG Park
ECS Transactions 92 (2), 157, 2019
202019
Tungsten passivation layer (WO3) formation mechanisms during chemical mechanical planarization in the presence of oxidizers
MK Poddar, P Jalalzai, S Sahir, NP Yerriboina, TG Kim, JG Park
Applied Surface Science 537, 147862, 2021
192021
Electrochemical impedance spectroscopic studies of copper dissolution in arginine–hydrogen peroxide solutions
Y Nagendra Prasad, V Vinod Kumar, S Ramanathan
Journal of Solid State Electrochemistry 13, 1351-1359, 2009
192009
Effect of polysilicon wettability on polishing and organic defects during CMP
JG Park, YN Prasad, YJ Kang, IK Kim, YK Hong, SY Han, SK Yun, ...
Journal of the Electrochemical Society 156 (11), H869, 2009
182009
Post-CMP cleaning of InGaAs surface for the removal of nanoparticle contaminants for Sub-10nm device applications
IC Choi, HT Kim, NP Yerriboina, JH Lee, L Teugels, TG Kim, JG Park
ECS Journal of Solid State Science and Technology 8 (5), P3028, 2019
172019
Nanocatalyst-induced hydroxyl radical (·OH) slurry for tungsten CMP for next-generation semiconductor processing
MK Poddar, HY Ryu, NP Yerriboina, YA Jeong, JH Lee, TG Kim, JH Kim, ...
Journal of materials science 55, 3450-3461, 2020
162020
Study on possible root causes of contamination from an incoming PVA brush during post-CMP cleaning
JH Lee, M Purushothaman, KM Han, HY Ryu, NP Yerriboina, TG Kim, ...
Polymer Testing 77, 105921, 2019
152019
The synergetic role of pores and grooves of the pad on the scratch formation during STI CMP
JG Choi, YN Prasad, IK Kim, WJ Kim, JG Park
Journal of The Electrochemical Society 157 (8), H806, 2010
152010
Comparative evaluation of organic contamination sources from roller and pencil type PVA brushes during the Post-CMP cleaning process
JH Lee, MK Poddar, KM Han, HY Ryu, NP Yerriboina, TG Kim, Y Wada, ...
Polymer Testing 90, 106669, 2020
142020
Ultrasound-induced break-in method for an incoming polyvinyl acetal (PVA) brush used during post-CMP cleaning process
JH Lee, MK Poddar, NP Yerriboina, HY Ryu, KM Han, TG Kim, S Hamada, ...
Polymer Testing 78, 105962, 2019
142019
The system can't perform the operation now. Try again later.
Articles 1–20