Follow
Elad Alon
Elad Alon
Professor of Electrical Engineering and Computer Sciences
Verified email at berkeley.edu
Title
Cited by
Cited by
Year
Wireless recording in the peripheral nervous system with ultrasonic neural dust
D Seo, RM Neely, K Shen, U Singhal, E Alon, JM Rabaey, JM Carmena, ...
Neuron 91 (3), 529-539, 2016
5462016
Design techniques for fully integrated switched-capacitor DC-DC converters
HP Le, SR Sanders, E Alon
IEEE Journal of Solid-State Circuits 46 (9), 2120-2131, 2011
5462011
Scaling, power, and the future of CMOS
M Horowitz, E Alon, D Patil, S Naffziger, R Kumar, K Bernstein
IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., 7 …, 2005
4602005
A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry
C Marcu, D Chowdhury, C Thakkar, JD Park, LK Kong, M Tabesh, Y Wang, ...
IEEE journal of solid-state circuits 44 (12), 3434-3447, 2009
4572009
A minimally invasive 64-channel wireless μECoG implant
R Muller, HP Le, W Li, P Ledochowitsch, S Gambini, T Bjorninen, ...
IEEE Journal of Solid-State Circuits 50 (1), 344-359, 2014
3932014
The road to fully integrated DC–DC conversion via the switched-capacitor approach
SR Sanders, E Alon, HP Le, MD Seeman, M John, VW Ng
IEEE Transactions on Power Electronics 28 (9), 4146-4155, 2012
3682012
Physical principles for scalable neural recording
AH Marblestone, BM Zamft, YG Maguire, MG Shapiro, TR Cybulski, ...
Frontiers in computational neuroscience 7, 137, 2013
2972013
The implementation of a 2-core, multi-threaded Itanium family processor
S Naffziger, B Stackhouse, T Grutkowski, D Josephson, J Desai, E Alon, ...
IEEE Journal of Solid-state circuits 41 (1), 197-209, 2005
2842005
Neural dust: An ultrasonic, low power solution for chronic brain-machine interfaces
D Seo, JM Carmena, JM Rabaey, E Alon, MM Maharbiz
arXiv preprint arXiv:1307.2196, 2013
2432013
A 32nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency
HP Le, M Seeman, SR Sanders, V Sathe, S Naffziger, E Alon
2010 IEEE International Solid-State Circuits Conference-(ISSCC), 210-211, 2010
2372010
Circuits and techniques for high-resolution measurement of on-chip power supply noise
E Alon, V Stojanovic, MA Horowitz
IEEE Journal of Solid-State Circuits 40 (4), 820-828, 2005
2332005
Demonstration of integrated micro-electro-mechanical relay circuits for VLSI applications
M Spencer, F Chen, CC Wang, R Nathanael, H Fariborzi, A Gupta, H Kam, ...
IEEE Journal of Solid-State Circuits 46 (1), 308-320, 2010
2212010
A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication
JD Park, S Kang, SV Thyagarajan, E Alon, AM Niknejad
2012 Symposium on VLSI Circuits (VLSIC), 48-49, 2012
2172012
A 65 nm CMOS 4-element sub-34 mW/element 60 GHz phased-array transceiver
M Tabesh, J Chen, C Marcu, L Kong, S Kang, AM Niknejad, E Alon
IEEE Journal of Solid-State Circuits 46 (12), 3018-3032, 2011
2142011
A Fully-Integrated, Miniaturized (0.125 mm²) 10.5 µW Wireless Neural Sensor
W Biederman, DJ Yeager, N Narevsky, AC Koralek, JM Carmena, E Alon, ...
IEEE Journal of Solid-State Circuits 48 (4), 960-970, 2013
2132013
Integrated circuit design with NEM relays
F Chen, H Kam, D Markovic, TJK Liu, V Stojanovic, E Alon
2008 IEEE/ACM International Conference on Computer-Aided Design, 750-757, 2008
2112008
Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery
V Stojanovic, A Ho, BW Garlepp, F Chen, J Wei, G Tsang, E Alon, ...
IEEE Journal of Solid-State Circuits 40 (4), 1012-1026, 2005
2102005
Model validation of untethered, ultrasonic neural dust motes for cortical recording
D Seo, JM Carmena, JM Rabaey, MM Maharbiz, E Alon
Journal of neuroscience methods 244, 114-122, 2015
1972015
Replica compensated linear regulators for supply-regulated phase-locked loops
E Alon, J Kim, S Pamarti, K Chang, M Horowitz
IEEE Journal of Solid-State Circuits 41 (2), 413-424, 2006
1872006
Mechanical computing redux: Relays for integrated circuit applications
V Pott, H Kam, R Nathanael, J Jeon, E Alon, TJK Liu
Proceedings of the IEEE 98 (12), 2076-2094, 2010
1852010
The system can't perform the operation now. Try again later.
Articles 1–20