Follow
Kostas Siozios
Kostas Siozios
Associate Professor, Department of Physics, Aristotle University of Thessaloniki (Greece)
Verified email at auth.gr - Homepage
Title
Cited by
Cited by
Year
Designing 2D and 3D network-on-chip architectures
K Tatas, K Siozios, D Soudris, A Jantsch
Springer, 2014
1092014
A heterogeneous multicore system on chip with run-time reconfigurable virtual FPGA architecture
M Hubner, P Figuli, R Girardey, D Soudris, K Siozios, J Becker
2011 IEEE International Symposium on Parallel and Distributed Processing …, 2011
562011
Architecture-level exploration of alternative interconnection schemes targeting 3d fpgas: A software-supported methodology
K Siozios, A Bartzas, D Soudris
International Journal of Reconfigurable Computing 2008, 2008
512008
Spartan: Developing a vision system for future autonomous space exploration robots
I Kostavelis, L Nalpantidis, E Boukas, MA Rodrigalvarez, I Stamoulias, ...
Journal of Field Robotics 31 (1), 107-140, 2014
442014
IoT for smart grids
K Siozios, D Anagnostos, D Soudris, E Kosmatopoulos
Cham, Switzerland: Springer, 30, 2019
372019
Exploration of alternative topologies for application-specific 3d networks-on-chip
A Bartzas, N Skalis, K Siozios, D Soudris
Proc. of WASP 5, 43, 2007
312007
DAGGER: A novel generic methodology for FPGA bitstream generation and its software tool implementation
K Siozios, G Koutroumpezis, K Tatas, D Soudris, A Thanailakis
19th IEEE International Parallel and Distributed Processing Symposium, 4 pp., 2005
292005
A novel framework for exploring 3-d fpgas with heterogeneous interconnect fabric
K Siozios, VF Pavlidis, D Soudris
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 5 (1), 1-23, 2012
272012
VADER: Voltage-driven netlist pruning for cross-layer approximate arithmetic circuits
G Zervakis, K Koliogeorgi, D Anagnostos, N Zompakis, K Siozios
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (6 …, 2019
252019
A low-complexity control mechanism targeting smart thermostats
P Danassis, K Siozios, C Korkas, D Soudris, E Kosmatopoulos
Energy and Buildings 139, 340-350, 2017
232017
A novel methodology for temperature-aware placement and routing of fpgas
K Siozios, D Soudris
IEEE Computer Society Annual Symposium on VLSI (ISVLSI'07), 55-60, 2007
232007
ECG analysis and heartbeat classification based on shallow neural networks
K Balaskas, K Siozios
2019 8th International conference on modern circuits and systems …, 2019
222019
Dynamic memory management in vivado-hls for scalable many-accelerator architectures
D Diamantopoulos, S Xydis, K Siozios, D Soudris
International Symposium on Applied Reconfigurable Computing, 117-128, 2015
222015
Three dimensional network-on-chip architectures
A Bartzas, K Siozios, D Soudris
Networks on Chips: Theory and practice, 2008
222008
Towards plug&play smart thermostats inspired by reinforcement learning
C Marantos, CP Lamprakos, V Tsoutsouras, K Siozios, D Soudris
Proceedings of the Workshop on INTelligent Embedded Systems Architectures …, 2018
212018
On supporting efficient partial reconfiguration with just-in-time compilation
H Sidiropoulos, K Siozios, P Figuli, D Soudris, M Hubner
2012 IEEE 26th International Parallel and Distributed Processing Symposium …, 2012
212012
An integrated framework for architecture level exploration of reconfigurable platform
K Siozios, K Tatas, G Koutroumpezis, D Soudris, A Thanailakis
International Conference on Field Programmable Logic and Applications, 2005 …, 2005
212005
A software-supported methodology for designing high-performance 3D FPGA architectures
K Siozios, K Sotiriadis, VF Pavlidis, D Soudris
2007 IFIP International Conference on Very Large Scale Integration, 54-59, 2007
202007
SPARTAN/SEXTANT/COMPASS: advancing space rover vision via reconfigurable platforms
G Lentaris, I Stamoulias, D Diamantopoulos, K Maragos, K Siozios, ...
International Symposium on Applied Reconfigurable Computing, 475-486, 2015
192015
Advancing rational exploitation of water irrigation using 5G-IoT capabilities: The AREThOU5A project
AD Boursianis, MS Papadopoulou, P Damantoulakis, A Karampatea, ...
2019 29th International Symposium on Power and Timing Modeling, Optimization …, 2019
172019
The system can't perform the operation now. Try again later.
Articles 1–20