Follow
Bei Yu
Title
Cited by
Cited by
Year
Recent advances in convolutional neural network acceleration
Q Zhang, M Zhang, T Chen, Z Sun, Y Ma, B Yu
Neurocomputing 323, 37-51, 2019
3982019
Parametric contrastive learning
J Cui, Z Zhong, S Liu, B Yu, J Jia
IEEE International Conference on Computer Vision (ICCV), 715-724, 2021
2242021
Machine learning for electronic design automation: A survey
G Huang, J Hu, Y He, J Liu, M Ma, Z Shen, J Wu, Y Xu, H Zhang, K Zhong, ...
ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (5 …, 2021
1922021
Layout decomposition for triple patterning lithography
B Yu, K Yuan, D Ding, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1852015
Provably secure camouflaging strategy for IC protection
M Li, K Shamsi, T Meade, Z Zhao, B Yu, Y Jin, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
1832017
GAN-OPC: Mask optimization with lithography-guided generative adversarial nets
H Yang, S Li, Y Ma, B Yu, EFY Young
ACM/IEEE Design Automation Conference (DAC), 1-6, 2018
1502018
Layout hotspot detection with feature tensor generation and deep biased learning
H Yang, J Su, Y Zou, B Yu, EFY Young
ACM/IEEE Design Automation Conference (DAC), 1-6, 2017
1432017
Deepbillboard: Systematic physical-world testing of autonomous driving systems
H Zhou, W Li, Z Kong, J Guo, Y Zhang, B Yu, L Zhang, C Liu
IEEE/ACM International Conference on Software Engineering (ICSE), 347-358, 2020
1222020
High performance graph convolutional networks with applications in testability analysis
Y Ma, H Ren, B Khailany, H Sikka, L Luo, K Natarajan, B Yu
ACM/IEEE Design Automation Conference (DAC), 1-6, 2019
1142019
Imbalance aware lithography hotspot detection: a deep learning approach
H Yang, L Luo, J Su, C Lin, B Yu
Journal of Micro/Nanolithography, MEMS, and MOEMS 16 (3), 033504-033504, 2017
1132017
Design for manufacturing with emerging nanolithography
DZ Pan, B Yu, JR Gao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1082013
Optical proximity correction with hierarchical Bayes model
T Matsunawa, B Yu, DZ Pan
Journal of Micro/Nanolithography, MEMS, and MOEMS 15 (2), 021009-021009, 2016
1012016
A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction
T Matsunawa, JR Gao, B Yu, DZ Pan
Design-Process-Technology Co-optimization for Manufacturability IX 9427, 201-211, 2015
952015
Enabling online learning in lithography hotspot detection with information-theoretic feature optimization
H Zhang, B Yu, EFY Young
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2016
942016
EPIC: Efficient prediction of IC manufacturing hotspots with a unified meta-classification formulation
D Ding, B Yu, J Ghosh, DZ Pan
IEEE/ACM Asian and South Pacific Design Automation Conference (ASPDAC), 263-270, 2012
912012
Dac-sdc low power object detection challenge for uav applications
X Xu, X Zhang, B Yu, XS Hu, C Rowen, J Hu, Y Shi
IEEE Transactions on Pattern Analysis and Machine Intelligence (TPAMI), 2019
832019
Self-aligned double patterning aware pin access and standard cell layout co-optimization
X Xu, B Cline, G Yeric, B Yu, DZ Pan
ACM International Symposium on Physical Design (ISPD), 101-108, 2014
832014
PCL: Proxy-based Contrastive Learning for Domain Generalization
X Yao, Y Bai, X Zhang, Y Zhang, Q Sun, R Chen, R Li, B Yu
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), 7097-7107, 2022
812022
MOSAIC: Mask optimizing solution with process window aware inverse correction
JR Gao, X Xu, B Yu, DZ Pan
ACM/IEEE Design Automation Conference (DAC), 1-6, 2014
812014
Methodology for standard cell compliance and detailed placement for triple patterning lithography
B Yu, X Xu, JR Gao, Y Lin, Z Li, CJ Alpert, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
772015
The system can't perform the operation now. Try again later.
Articles 1–20