Follow
Anuj Grover
Title
Cited by
Cited by
Year
Resistive random access memory: a review of device challenges
V Gupta, S Kapur, S Saurabh, A Grover
IETE Technical Review 37 (4), 377-390, 2020
862020
SRAM cell and cell layout method
A Grover, GS Visweswaran
US Patent 9,305,633, 2016
622016
A 460 mhz at 397 mv, 2.6 ghz at 1.3 v, 32 bits vliw dsp embedding f max tracking
E Beigne, A Valentian, I Miro-Panades, R Wilson, P Flatresse, F Abouzeid, ...
IEEE Journal of Solid-State Circuits 50 (1), 125-136, 2014
602014
A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding FMAX tracking
R Wilson, E Beigne, P Flatresse, A Valentian, F Abouzeid, T Benoist, ...
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
522014
Ultra-wide voltage range designs in fully-depleted silicon-on-insulator FETs
E Beigné, A Valentian, B Giraud, O Thomas, T Benoist, Y Thonnart, ...
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 613-618, 2013
372013
Low voltage write time enhanced SRAM cell and circuit extensions
A Grover, GS Visweswaran
US Patent 8,654,570, 2014
242014
LoCCo-based scan chain stitching for low-power DFT
S Pathak, A Grover, M Pohit, N Bansal
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (11 …, 2017
192017
A 32 kb 0.35–1.2 V, 50 MHz–2.5 GHz bit-interleaved SRAM with 8 T SRAM cell and data dependent write assist in 28-nm UTBB-FDSOI CMOS
A Grover, GS Visweswaran, CR Parthasarathy, M Daud, D Turgis, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (9), 2438-2447, 2017
192017
Memory with an assist determination controller and associated methods
A Grover, GS Visweswaran
US Patent 8,982,651, 2015
132015
Data-dependent pullup transistor supply and body bias voltage application for a static random access memory (SRAM) cell
A Grover, GS Visweswaran
US Patent 8,724,374, 2014
122014
A 0.9 V 64Mb 6T SRAM cell with Read and Write assist schemes in 65nm LSTP technology
A Mishra, A Grover
2020 24th International Symposium on VLSI Design and Test (VDAT), 1-4, 2020
102020
ChaCha20-in-Memory for Side-Channel Resistance in IoT Edge-Node Devices
M Aamir, S Sharma, A Grover
IEEE Open Journal of Circuits and Systems 2, 833-842, 2021
82021
Area compact 5T portless SRAM cell for high density cache in 65nm CMOS
JK Yadav, P Das, A Jain, A Grover
2015 19th International Symposium on VLSI Design and Test, 1-4, 2015
82015
Comparative analysis of SRAM cells in sub-threshold region in 65nm
R Madan, R Gupta, BS Nirwan, A Grover
2015 International Conference on Advances in Computer Engineering and …, 2015
82015
Tagged memory operated at lower vmin in error tolerant system
N Chawla, G Desoli, A Grover, T Boesch, SP Singh, M Ayodhyawasi
US Patent 11,360,667, 2022
72022
Design of sense amplifier for wide voltage range operation of split supply memories in 22nm HKMG CMOS technology
V Patil, A Grover, A Parashar
2020 33rd International Conference on VLSI Design and 2020 19th …, 2020
72020
Low Standby Power Capacitively Coupled Sense Amplifier for wide voltage range operation of dual rail SRAMs
A Grover, P Kumar, M Daud, GS Visweswaran, C Parthasarathy, JP Noel, ...
2015 International Conference on IC Design & Technology (ICICDT), 1-4, 2015
72015
Aluminium phosphide poisoning. Manual of medical emergencies
A Grover, S Bansal
New Delhi: MM Healthcare, 1997
71997
Wide voltage range high performance sense amplifier
A Grover, GS Visweswaran
US Patent 9,177,637, 2015
62015
Comparative analysis of Sense Amplifiers for SRAM in 65nm CMOS technology
N Chandoke, N Chitkara, A Grover
2015 IEEE International Conference on Electrical, Computer and Communication …, 2015
62015
The system can't perform the operation now. Try again later.
Articles 1–20